Please use this identifier to cite or link to this item: https://hdl.handle.net/10356/97992
Title: A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip
Authors: Ye, Yaoyao
Xu, Jiang
Wu, Xiaowen
Zhang, Wei
Liu, Weichen
Nikdast, Mahdi
Keywords: DRNTU::Engineering::Computer science and engineering
Issue Date: 2012
Source: Ye, Y., Xu, J., Wu, X., Zhang, W., Liu, W., & Nikdast, M. (2012). A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip. ACM Journal on Emerging Technologies in Computing Systems, 8(1).
Series/Report no.: ACM journal on emerging technologies in computing systems
Abstract: Networks-on-chip (NoCs) are emerging as a key on-chip communication architecture for multiprocessor systems-on-chip (MPSoCs). Optical communication technologies are introduced to NoCs in order to empower ultra-high bandwidth with low power consumption. However, in existing optical NoCs, communication locality is poorly supported, and the importance of floorplanning is overlooked. These significantly limit the power efficiency and performance of optical NoCs. In this work, we address these issues and propose a torus-based hierarchical hybrid optical-electronic NoC, called THOE. THOE takes advantage of both electrical and optical routers and interconnects in a hierarchical manner. It employs several new techniques including floorplan optimization, an adaptive power control mechanism, low-latency control protocols, and hybrid optical-electrical routers with a low-power optical switching fabric. Both of the unfolded and folded torus topologies are explored for THOE. Based on a set of real MPSoC applications, we compared THOE with a typical torus-based optical NoC as well as a torus-based electronic NoC in 45nm on a 256-core MPSoC, using a SystemC-based cycle-accurate NoC simulator. Compared with the matched electronic torus-based NoC, THOE achieves 2.46X performance and 1.51X network switching capacity utilization, with 84% less energy consumption. Compared with the optical torus-based NoC, THOE achieves 4.71X performance and 3.05X network switching capacity utilization, while reducing 99% of energy consumption. Besides real MPSoC applications, a uniform traffic pattern is also used to show the average packet delay and network throughput of THOE. Regarding hardware cost, THOE reduces 75% of laser sources and half of optical receivers compared with the optical torus-based NoC.
URI: https://hdl.handle.net/10356/97992
http://hdl.handle.net/10220/12296
ISSN: 1550-4832
DOI: 10.1145/2093145.2093150
Schools: School of Computer Engineering 
Rights: © 2012 ACM.
Fulltext Permission: none
Fulltext Availability: No Fulltext
Appears in Collections:SCSE Journal Articles

SCOPUSTM   
Citations 10

58
Updated on Mar 23, 2024

Web of ScienceTM
Citations 10

35
Updated on Oct 26, 2023

Page view(s) 10

849
Updated on Mar 28, 2024

Google ScholarTM

Check

Altmetric


Plumx

Items in DR-NTU are protected by copyright, with all rights reserved, unless otherwise indicated.